Đề thi học kỳ 1 môn: Kỹ thuật số có đáp án (Năm 2013-2014) - Nguyễn Trọng Luật

Đề thi học kỳ 1 môn "Kỹ thuật số"  năm 2013-2014 do giáo viên Nguyễn Trọng Luật biên soạn gồm 8 câu hỏi bài tập có lời giải. để củng cố lại kiến thức và làm quen với dạng đề thi môn Kỹ thuật số. 

Không thể tạo bản xem trước, hãy bấm tải xuống
TỪ KHÓA LIÊN QUAN
TÀI LIỆU MỚI ĐĂNG
55    668    5    19-04-2024
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.