Lecture RTL hardware design - Chapter 3: Basic language constructs of VHDL

Chapter 3 provides an overview of the basic language constructs of VHDL, including lexical elements, objects, data types and operators. Because VHDL is a strongly typed language, the data types and operators are discussed in more detail. | Basic Language Constructs of VHDL RTL Hardware Design by P. Chu Chapter 3 1 Outline 1. 2. 3. 4. Basic VHDL program Lexical elements and program format Objects Data type and operators RTL Hardware Design by P. Chu Chapter 3 2 1. Basic VHDL program RTL Hardware Design by P. Chu Chapter 3 3 Design unit • Building blocks in a VHDL program • Each design unit is analyzed and stored independently • Types of design unit: – entity declaration – architecture body – package declaration – package body – configuration RTL Hardware Design by P. Chu Chapter 3 4 Entity declaration • Simplified syntax RTL Hardware Design by P. Chu Chapter .

Không thể tạo bản xem trước, hãy bấm tải xuống
TÀI LIỆU MỚI ĐĂNG
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.