Công nghệ Số - Mạch Điện Tử part 21

Hãy thử cân nhắc việc biến đổi số 11112 sang hệ thập phân. Vì mỗi vị trí của một con số trong hệ nhị phân (cơ số 2) chỉ cho phép giá trị 0 hay 1 mà thôi, việc định giá trị của con số tại vị trí ấy, tính từ bên phải, là một việc tương đối dễ dàng: | Design Entry Design tools Webpack ISE miễn phi ỉ Thiết kế cho CPLD FPGA Ỉ CÓ công cụ thiết kế dùng schematic ngôn ngữ mô tả phần cứng công cụ tong hợp mô phỏng và vật lý http ise logic_design prod m ISE Foundation không miễn phí chức năng tưoiĩg tự như Webpack EDK and Platform studio Dùiìg để thiết kế hệ thống nhúng với FPGA 241 Schematic diagram example Schematic Editor 3 Qp xx Htererchy View Qrjplay WrxJow Help HEID 3 JxJ COCI 4M ItOCt H LOC P28 3 U2 -f UXIIW XUH_VT -Ui-SI. LOC P18 OTtTSTOF STMACH V r rjT TtaT m TttTBXtTT Tc Tw rr TNU VYf TCaTN VT. TMTHOOm TMT U TJ i - rt TM TO OUTS 3 TENTHSQUT ircrcpoi iuxi -COUNTER T U_ J3 OUTS1 Mia 1 ONESOUT 6 2l c U1 IKIOKPS ONES 3 TiT CNT6t Language based HEX2LED f U4 o .MB Inc-pet IMtM OUTS2 TENSOUn QL_ T refinement WATCH . VHDL editor Xilinx Corporation Project UNTITLED 71 nil 1 noir Dr wo ShPPt WATCH Hierarchy Push Pop 242 VHDL Example HDLEdiloi BED I fie Ed ẵe ch ỵĩew Syrthesn Prefect Jods He p OI-IOI 3 1 -JpJrkdl 3 3 . fc n 1 library IEEE 2 use logic 3 4 entity HEX2LED is 5 port 6 HEX in STD LOGIC UECTOR 3 downto 0 7 LED out STD LOGIC UECTOR 6 downto 0 8 9 end HEX2LED 10 11 architecture HEX2LED_arch oF HEX2LED is 12 begin 13 enter your statenents here 14 HEX-to-seuen-segnent decoder 15 - HEX in STD_LOGIC_UECTOR 3 downto 0 16 17 LED out STD_LOGIC_UECTOR 6 downto 0 18 19 20 21 22 23 24 25 26 27 - segnent encoding 0 - 5 1 - 6 - 4 2 3 with HEX SELect LED - 1111001 when 0001 1 28 0100100 when 0010 2 29 0110000 when 0011 3 30 0011001 when 0100 4 31 0010010 when 0101 5 32 0000010 when 0110 --6 33 1111000 when 0111 7 34 0000000 when 1000 --8 35 0010000 when 1001 9 36 0001000 when 1010 A 37 0000011 when 1011 --b 38 1000110 when 1100 c 39 O10OO01 when 1101 d 40 0000110 when 1110 E 41 0001110 when 1111 F 42 1000000 when others -0 I 43 end HEX2LED_arch 243 Ready I Ln 42. Cd 32VHDL Í .

Không thể tạo bản xem trước, hãy bấm tải xuống
TỪ KHÓA LIÊN QUAN
TÀI LIỆU MỚI ĐĂNG
17    8    1    11-05-2024
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.