Chisel - ngôn ngữ xây dựng phần cứng trong ngôn ngữ bậc cao Scala hỗ trợ mô tả mức truyền thanh ghi

Trong bài viết này tập trung giới thiệu các vấn đề cơ bản để người dùng tiếp cận nhanh công cụ thiết kế mới là Chisel theo cách trực quan nhất, đồng thời cũng trình bày bao quát các thành phần cần có để thiết kế các hệ thống số phổ biến hiện nay. | TẠP CHÍ KHOA HỌC VÀ CÔNG NGHỆ Trường Đại học Khoa học ĐH Huế Tập 21 Số 1 2022 CHISEL - NGÔN NGỮ XÂY DỰNG PHẦN CỨNG TRONG NGÔN NGỮ BẬC CAO SCALA HỖ TRỢ MÔ TẢ MỨC TRUYỀN THANH GHI Lê Văn Thanh Vũ Trần Thị Kiều Khoa Điện Điện tử amp CNVL Trường Đại học Khoa học Đại học Huế Email vulvt@ Ngày nhận bài 17 6 2022 ngày hoàn thành phản biện 22 6 2022 ngày duyệt đăng 4 8 2022 TÓM TẮT Trong bài báo này chúng tôi giới thiệu Chisel là ngôn ngữ xây dựng phần cứng được nhúng trong ngôn ngữ lập trình bậc cao Scala. Ngôn ngữ này hướng đến cả hai nhóm lập trình kỹ sư thiết kế phần cứng và lập trình viên phần mềm. Các kỹ sư thiết kế phần cứng tiến hành xây dựng các hệ thống điện tử số bằng các ngôn ngữ mô tả phần cứng HDL như VHDL Verilog . Những người khác cần sử dụng các ngôn ngữ lập trình để tạo ra phần cứng. Chisel mang lại sự đột phá trong kỹ thuật lập trình như với các ngôn ngữ hàm và hướng đối tượng để hình thành nên công cụ thiết kế số. Chisel không chỉ cho phép trích xuất mô tả phần cứng mức thanh ghi mà còn cho phép chúng ta viết đoạn mã tạo tạo ra phần cứng 3 . Nội dung chính của bài báo là trình bày tổng quan các thành phần của Chisel và định hướng sử dụng công cụ này trong chu trình nghiên cứu thiết kế phần cứng. Từ khóa Ngôn ngữ thiết kế phần cứng Chisel thiết kế vi mạch công cụ hỗ trợ thiết kế. 1. MỞ ĐẦU Lĩnh vực thiết kế phần cứng cho các hệ thống điện tử là xu hướng phát triển rất đáng được quan tâm nhất là với các đối tượng sinh viên chuyên ngành Điện tử - Viễn thông. Hơn nữa khi thế giới đang bị ảnh hưởng của dịch bệnh và đứt gãy chuỗi cung ứng thì sự thiếu hụt vi mạch đã và đang ảnh hưởng lớn đến rất nhiều ngành nghề sản xuất khác như sản xuất xe ôto các thiết bị điện tử nghe nhìn Hoạt động nghiên cứu thiết kế vi mạch lại luôn cần các công cụ hỗ trợ xuyên suốt quá trình làm việc nhưng lại khó tiếp cận vì giá thành của những công cụ này rất cao. Chisel được phát triển từ ngôn ngữ nhúng Scala hỗ trợ tối ưu cho người dùng trong hầu hết các công đoạn .

Không thể tạo bản xem trước, hãy bấm tải xuống
TỪ KHÓA LIÊN QUAN
TÀI LIỆU MỚI ĐĂNG
36    71    1    28-04-2024
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.